Show simple item record

dc.contributor.advisorGratz, Paul V
dc.contributor.advisorJimenez, Daniel A
dc.creatorBhatia, Eshan
dc.date.accessioned2019-10-16T20:36:57Z
dc.date.available2019-10-16T20:36:57Z
dc.date.created2019-05
dc.date.issued2019-04-04
dc.date.submittedMay 2019
dc.identifier.urihttps://hdl.handle.net/1969.1/185028
dc.description.abstractHardware prefetching is an effective technique for hiding cache miss latencies in modern processor designs. An efficient prefetcher should identify complex memory access patterns during program execution. This ability enables the prefetcher to read a block ahead of its demand access, potentially preventing a cache miss. Accurately identifying the right blocks to prefetch is essential to achieving high performance from the prefetcher. Prefetcher performance can be characterized by two main metrics that are generally at odds with one another: coverage, the fraction of baseline cache misses which the prefetcher brings into the cache; and accuracy, the fraction of prefetches which are ultimately used. An overly aggressive prefetcher may improve coverage at the cost of reduced accuracy. Thus, performance may be harmed by this over-aggressiveness because many resources are wasted, including cache capacity and bandwidth. An ideal prefetcher would have both high coverage and accuracy. In this thesis, I propose Perceptron-based Prefetch Filtering (PPF) as a way to increase the coverage of the prefetches generated by a baseline prefetcher without negatively impacting accuracy. PPF enables more aggressive tuning of a given baseline prefetcher, leading to increased coverage by filtering out the growing numbers of inaccurate prefetches such an aggressive tuning implies. I also explore a range of features to use to train PPF’s perceptron layer to identify inaccurate prefetches. PPF improves performance on a memory-intensive subset of the SPEC CPU 2017 benchmarks by 3.78% for a single-core configuration, and by 11.4% for a 4-core configuration, compared to the baseline prefetcher alone.en
dc.format.mimetypeapplication/pdf
dc.language.isoen
dc.subjectComputer Architectureen
dc.subjectCachesen
dc.titlePerceptron Learning in Cache Management and Prediction Techniquesen
dc.typeThesisen
thesis.degree.departmentElectrical and Computer Engineeringen
thesis.degree.disciplineComputer Engineeringen
thesis.degree.grantorTexas A & M Universityen
thesis.degree.nameMaster of Scienceen
thesis.degree.levelMastersen
dc.contributor.committeeMemberKhatri, Sunil P
dc.type.materialtexten
dc.date.updated2019-10-16T20:36:57Z
local.etdauthor.orcid0000-0002-0693-9946


Files in this item

Thumbnail

This item appears in the following Collection(s)

Show simple item record