Now showing items 1-1 of 1

    • Mahawar, Hemant (Texas A&M University, 2007-09-17)
      In VLSI circuits, signal delays play an important role in design, timing verification and signal integrity checks. These delays are attributed to the presence of parasitic resistance, capacitance and inductance. With ...