Show simple item record

dc.contributor.advisorHu, Jiang
dc.creatorHu, Shiyan
dc.date.accessioned2008-10-10T20:55:17Z
dc.date.available2008-10-10T20:55:17Z
dc.date.created2008-05
dc.date.issued2008-10-10
dc.identifier.urihttps://hdl.handle.net/1969.1/85905
dc.description.abstractAs Very Large Scale Integration (VLSI) technology moves to the nanoscale regime, design and manufacturing closure becomes very difficult to achieve due to increasing chip and power density. Imperfections due to process, voltage and temperature variations aggravate the problem. Uncertainty in electrical characteristic of individual device and wire may cause significant performance deviations or even functional failures. These impose tremendous challenges to the continuation of Moore's law as well as the growth of semiconductor industry. Efforts are needed in both deterministic design stage and variation-aware design stage. This research proposes various innovative algorithms to address both stages for obtaining a design with high frequency, low power and high robustness. For deterministic optimizations, new buffer insertion and gate sizing techniques are proposed. For variation-aware optimizations, new lithography-driven and post-silicon tuning-driven design techniques are proposed. For buffer insertion, a new slew buffering formulation is presented and is proved to be NP-hard. Despite this, a highly efficient algorithm which runs > 90x faster than the best alternatives is proposed. The algorithm is also extended to handle continuous buffer locations and blockages. For gate sizing, a new algorithm is proposed to handle discrete gate library in contrast to unrealistic continuous gate library assumed by most existing algorithms. Our approach is a continuous solution guided dynamic programming approach, which integrates the high solution quality of dynamic programming with the short runtime of rounding continuous solution. For lithography-driven optimization, the problem of cell placement considering manufacturability is studied. Three algorithms are proposed to handle cell flipping and relocation. They are based on dynamic programming and graph theoretic approaches, and can provide different tradeoff between variation reduction and wire- length increase. For post-silicon tuning-driven optimization, the problem of unified adaptivity optimization on logical and clock signal tuning is studied, which enables us to significantly save resources. The new algorithm is based on a novel linear programming formulation which is solved by an advanced robust linear programming technique. The continuous solution is then discretized using binary search accelerated dynamic programming, batch based optimization, and Latin Hypercube sampling based fast simulation.en
dc.format.mediumelectronicen
dc.language.isoen_US
dc.publisherTexas A&M University
dc.subjectPhysical Designen
dc.subjectVLSI CADen
dc.titleAlgorithmic techniques for nanometer VLSI design and manufacturing closureen
dc.typeBooken
dc.typeThesisen
thesis.degree.departmentElectrical & Computer Engineeringen
thesis.degree.disciplineComputer Engineering (CEEN)en
thesis.degree.grantorTexas A&M Universityen
thesis.degree.nameDoctor of Philosophyen
thesis.degree.levelDoctoralen
dc.contributor.committeeMemberCheng, Mosong
dc.contributor.committeeMemberShi, Weiping
dc.contributor.committeeMemberFriesen, Donald
dc.contributor.committeeMemberAlpert, Charles
dc.type.genreElectronic Dissertationen
dc.type.materialtexten
dc.format.digitalOriginborn digitalen


Files in this item

Thumbnail

This item appears in the following Collection(s)

Show simple item record