Show simple item record

dc.contributor.advisorTyagi, Aakash
dc.creatorKottapalli, Venkateshwar
dc.date.accessioned2018-02-05T21:14:25Z
dc.date.available2019-08-01T06:54:49Z
dc.date.created2017-08
dc.date.issued2017-07-17
dc.date.submittedAugust 2017
dc.identifier.urihttps://hdl.handle.net/1969.1/165866
dc.description.abstractCache coherency is crucial to multi-core systems with a shared memory programming model. Coherency protocols have been formally verified at the architectural level with relative ease. However, several subtle issues creep into the hardware realization of cache in a multi-processor environment. The assumption, made in the abstract model, that state transitions are atomic, is invalid for the HDL implementation. Each transition is composed of many concurrent multi-core operations. As a result, even with a blocking bus, several transient states come into existence. Most modern processors optimize communication with a split-transaction bus, this results in further transient states and race conditions. Therefore, the design and verification of cache coherency is increasingly complex and challenging. Simulation techniques are insufficient to ensure memory consistency and the absence of deadlock, livelock, and starvation. At best, it is tediously complex and time consuming to reach confidence in functionality with simulation. Formal methods are ideally suited to identify the numerous race conditions and subtle failures. In this study, we perform formal property verification on the RTL of a multi-core level-1 cache design based on snooping MESI protocol. We demonstrate full-proof verification of the coherence module in JasperGold using complexity reduction techniques through parameterization. We verify that the assumptions needed to constrain inputs of the stand-alone cache coherence module are satisfied as valid assertions in the instantiation environment. We compare results obtained from formal property verification against a state-of-the-art UVM environment. We highlight the benefits of a synergistic collaboration between simulation and formal techniques. We present formal analysis as a generic toolkit with numerous usage models in the digital design process.en
dc.format.mimetypeapplication/pdf
dc.language.isoen
dc.subjectVerificationen
dc.subjectCacheen
dc.subjectCoherenceen
dc.subjectMemory Consistencyen
dc.subjectFormal Verificationen
dc.subjectModel Checkingen
dc.subjectRTLen
dc.subjectFormal Property Verificationen
dc.subjectUVMen
dc.subjectMESIen
dc.titleFormal Verification of a MESI-based Cache Implementationen
dc.typeThesisen
thesis.degree.departmentComputer Science and Engineeringen
thesis.degree.disciplineComputer Engineeringen
thesis.degree.grantorTexas A & M Universityen
thesis.degree.nameMaster of Scienceen
thesis.degree.levelMastersen
dc.contributor.committeeMemberWalker, Duncan M
dc.contributor.committeeMemberHu, Jiang
dc.type.materialtexten
dc.date.updated2018-02-05T21:14:26Z
local.embargo.terms2019-08-01
local.etdauthor.orcid0000-0002-8271-0422


Files in this item

Thumbnail

This item appears in the following Collection(s)

Show simple item record